分类筛选
分类筛选:

关于仲裁论文范文资料 与一种环形网络的可扩展流水仲裁器设计有关论文参考文献

版权:原创标记原创 主题:仲裁范文 科目:毕业论文 2024-02-17

《一种环形网络的可扩展流水仲裁器设计》:本文是一篇关于仲裁论文范文,可作为相关选题参考,和写作参考文献。

摘 要:对环形网络的仲裁器结构进行研究,提出了一种可扩展流水仲裁器结构,能够同时完成通信缓冲和通信链路的分配.对14个通信节点互连进行了建模模拟,各节点命中数量差值小于5%,该结构仲裁器具有较好的仲裁公平性;然后采用Chartered 65 nm工艺对RTL设计进行了时序综合实验,关键通路延迟比同等规模的全交叉开关结构降低36.8%;同时该仲裁结构中的仲裁核心逻辑时序受互连规模变化影响较小,具有一定的可扩展性.

关键词:仲裁器;片上互连;环形网络;可扩展设计

中图分类号:TP302文献标识码:A

A Scalable Pipelined Arbiter Design for Ring Bus

REN Xiujiang, SHI Jingjing,XIE Xianghui

(Jiangnan Institute of Computing Technology, Wuxi,Jiangsu214083, China)

Abstract: The arbiter architecture of the ring bus was studied, and a novel extensible pipelined design was proposed, which can allocate the communication buffers and links simultaneously. Three characteristics he been found in the proposed design. Firstly, the arbiter is fair for each node, only with a 5% difference of the hit number. The communicated nodes were found in the simulation when the arbiter in an interconnect system was modeled with 14 nodes. Secondly, compared with the crossbar design, the worst time delay of our synthesis RTL design with Chartered 65 nm Technology was reduced by 36.8%. Furthermore, as the number of the nodes has less effect on the key circuit, the arbiter has certain scalability.

Key words:arbiter; interconnect; ring;extensible design

随着微处理器体系结构的发展和VLSI工艺水平的持续进步,设计人员可以将更多的功能模块集成到单个芯片中,多核结构已经成为微处理器发展的方向.与传统的单核处理器相比,多核系统的片上通信机制变得更加复杂,对片上互连结构的要求也越来越高;另一方面,随着工艺的不断进步和片上芯片数量的不断扩大,片上通信的线延迟正逐渐成为片上互连设计实现时需要考虑的重要因素.

片上网络技术[1-3]能够提供灵活扩展的互连架构,但额外的路由器设计不仅引入了新的功耗和面积开销[4-6],路由算法、通信协议等设计更大大增加了片上互连的设计复杂度[7],尤其在片上通信节点数有限的芯片中,片上网络设计的性价比不高.传统的互连结构,如基于总线的结构或者全交叉开关结构,具有结构简单、易实现的特点,但可扩展性受限.环形网络是一种改进的多段总线互连结构,分段的互连线结构易于高频实现和互连规模的扩大,仲裁器设计对发挥环形网络的可扩展性具有重要影响.

本文对环形网络的仲裁器结构进行研究,提出了一种阻塞式可扩展流水仲裁器结构,能够较为公平地完成请求仲裁和链路分配,并且仲裁核心的逻辑长度受网络规模影响小,能够应用于不同通信节点数量的环形网络设计中,具有一定的可扩展性.

1相关研究

1.1环形网络互连结构

早期的片上互连结构中通信节点之间由物理介质直接互连,通信数据直接在源、目的之间传输,没有通过第三方设备.这种基于直接连接的互连结构具有结构简单、易于实现的特点.比较有代表性结构有总线结构、交叉开关结构.

总线是应用最早、最传统的互连结构,如:ARM的AMBA[8],IBM的CoreConnect[9],Silicore的Wishbone,均为总线结构.总线结构中所有设备共享物理介质,因此无法在同一时刻支持一对以上设备通信,通信带宽受限;并且随着片上通信距离的增大,全局连线延迟往往可以达到若干个时钟周期,对总线结构直接的影响是传输效率的进一步降低.

交叉开关(Crossbar)是在总线之后发展起来的常用互连结构[10],国内外多款多核处理器中均采用交叉开关结构互连,如:Sun公司的UltraSPARC多核处理器[11]、IBM公司POWER系列多核处理器[12].交叉开关在每一对通信节点间提供独立的物理互连,能够克服带宽限制问题,可以实现尽可能多的并发通信,通信效率高.但交叉开关的连线资源开销与节点数成比例增加,节点数增多会导致通信端口的连线数量剧增、线负载增大,不利于后端设计实现,不利于互连规模扩展.

环形网络中也是通过共用物理链路实现互连的,与总线结构不同的是,通信节点将物理连线分成多段,段间有寄存器站台隔开,有利于实现高频设计.不重合的段与段之间可以独立使用,能够提供较高的聚合通信带宽.环形网络中连线规整,易于同时实现多套物理连线,降低片上通信的冲突性.例如,IBM研制的CELL处理器[13]中实现了4套环形网络来降低通信冲突、提高聚合通信带宽.设置不同方向的环形网络,可以将网络直径减少为网络规模的一半.

仲裁论文参考资料:

结论:一种环形网络的可扩展流水仲裁器设计为大学硕士与本科仲裁毕业论文开题报告范文和相关优秀学术职称论文参考文献资料下载,关于免费教你怎么写仲裁方面论文范文。

和你相关的